Explore the offer

Lithography & Patterning / Patterning, replication, and sample navigation

Reactive Ion Etching

Reactive Ion Etching (RIE) is a versatile and crucial technique in modern micro- and nanofabrication, offering the ability to create highly precise and intricate patterns essential for a wide range of advanced technologies. This technique involves the use of chemically reactive plasma to remove materials deposited on substrates. The plasma is composed of ions, electrons and neutral species, and it’s generated from a process gas using an RF power source. The process gas typically contains highly reactive species, such as fluorine, chlorine, or oxygen, depending on the material to be etched. Typically, fluorine-based gases such as SF6 and CF4 are used for the etching of silicon and silicon carbide, while gases like C3F8 and C2F6 are employed for the etching of silicon dioxide and silicon nitride. For the etching of metals like aluminum and titanium, chlorine-based gases, such as BCl3 and CCl4/Cl2/BCl3 are used, whereas, organic materials like photoresist are etched using oxygen-based gases.

The advantages of RIE include good depth uniformity, good mask selectivity, reduced chemical waste handling (compared to wet etching), a relatively clean process, and the ability to provide high fidelity and dimensional control of the etched features.

For higher performance and better control over the etch characteristics, the inductively coupled plasma RIE (ICP-RIE) configuration is preferred. Unlike standard RIE, ICP-RIE uses a dedicated ICP source to create a dense plasma independently of the RF power applied to the substrate. The bias voltage applied to the substrate controls the ion energy, allowing precise tuning of the etching process. This decoupling enables high ion energies for effective etching while maintaining a high plasma density. As a result of the very high plasma densities generated at low operating pressures, and independent control over ion density and ion energy, higher etch rates and better anisotropy is obtained in ICP-RIE.

Deep reactive ion etching (DRIE) allows for very deep, high-aspect-ratio etching into silicon substrates, making it essential for MEMS, NEMS, microsystems and micromachining fabrication. In these applications, the sidewalls of the etched structures are nearly vertical and the depths can reach hundreds of microns or more.

The present implementation of the technique is suitable for mm to cm-size samples as those typically studied by spectroscopy and microscopy

Available instruments

Select instruments to view their specifications and compare them (3 max)

Lab's Facility

Bologna

CNR-IMM@BO

Instruments' description and comparison